Verilog 中的哪些结构可以包含函数定义?
Which constructs in Verilog can contain function definition?
我是 verilog 的新手,正在尝试找出 函数可以在 verilog 中的什么地方 defined/declared(我知道函数可以在包中定义,还有什么?)。提前致谢。
可能,声明和使用函数的最简单方法是在 <module_function_pkg>.vh
中声明所有函数并将其包含在设计 verilog 文件中。
按照@dave_59在评论中所说的那样使用。
在Verilog中,可以在
之间声明一个函数
module
和 endmodule
(即在模块的当前区域 - 在模块内部,但在 initial
或 always
块之外)
generate
和 endgenerate
就是这样。
在System-Verilog中,可以在
之间声明一个函数
module
和 endmodule
generate
和 endgenerate
和
class
和 endclass
interface
和 endinterface
checker
和 endchecker
package
和 endpackage
program
和 endprogram
和
- 外
module
/ interface
/ checker
/ package
/ program
我是 verilog 的新手,正在尝试找出 函数可以在 verilog 中的什么地方 defined/declared(我知道函数可以在包中定义,还有什么?)。提前致谢。
可能,声明和使用函数的最简单方法是在 <module_function_pkg>.vh
中声明所有函数并将其包含在设计 verilog 文件中。
按照@dave_59在评论中所说的那样使用。
在Verilog中,可以在
之间声明一个函数module
和endmodule
(即在模块的当前区域 - 在模块内部,但在initial
或always
块之外)generate
和endgenerate
就是这样。
在System-Verilog中,可以在
之间声明一个函数module
和endmodule
generate
和endgenerate
和
class
和endclass
interface
和endinterface
checker
和endchecker
package
和endpackage
program
和endprogram
和
- 外
module
/interface
/checker
/package
/program