Verilog 中的哪些结构可以包含函数定义?

Which constructs in Verilog can contain function definition?

我是 verilog 的新手,正在尝试找出 函数可以在 verilog 中的什么地方 defined/declared(我知道函数可以在包中定义,还有什么?)。提前致谢。

可能,声明和使用函数的最简单方法是在 <module_function_pkg>.vh 中声明所有函数并将其包含在设计 verilog 文件中。

按照@dave_59在评论中所说的那样使用。

Verilog中,可以在

之间声明一个函数
  • moduleendmodule(即在模块的当前区域 - 在模块内部,但在 initialalways 块之外)
  • generateendgenerate

就是这样。

System-Verilog中,可以在

之间声明一个函数
  • moduleendmodule
  • generateendgenerate

  • classendclass
  • interfaceendinterface
  • checkerendchecker
  • packageendpackage
  • programendprogram

  • module / interface / checker / package / program